Equipment for sale

SoS offers a variety of front and back-end equipment.

 

 

Equipement

TEL Lithius track 300mm

The TEL CLEAN TRACK LITHIUS is a 300/200mm coater/developer. In response to drastically fluctuating market needs, the CLEAN TRACK LITHIUS was developed based on three concepts: improved processing, short cycle times, and enhanced network solutions. It achieves increased responsiveness and stability for important process technologies, shorter lead and start-up times, and allows for easier modifications.  


TEL Lithius Track 300mm 

The TEL CLEAN TRACK LITHIUS is a 300/200mm coater/developer. In response to drastically fluctuating market needs, the CLEAN TRACK LITHIUS was developed based on three concepts: improved processing, short cycle times, and enhanced network solutions. It achieves increased responsiveness and stability for important process technologies, shorter lead and start-up times, and allows for easier modifications.



Sold  

Semitool (AMAT) Raider ECD 312

The RAIDER  ECD  312 system for 300mm single-wafer, automated, multi-chamber, electrochemical deposition delivers high throughput in a small footprint.

Complete system incl 2x Neslap HX300 chiller And integrated fire extinguishing system.


Tokyo Electron Trias High K CVD

CVD System, 12” <45nm process Vintage 20102 Chambers: CVD & ALD Process: NiOx, HfOx
Trias cluster: 2 LoadportsLM: Front end Main power distribution (MPD) Transfer module, TM

EX reactor, PM2:Plasma capability: No Includes:Ozonizer & H20 Box2 Touch screens ozonizer

High-k CVD reactor PM4:Thermal based depositionTransformer box (Hi-K) option elec box:

PM2: Hafnium Nickel Titaan Vanadium
PM4: Hafnium Nickel Titaan Ruthenium Strontium

Manuals & Spareparts includedMachine is in absolute as good as new condition ex R&D facility 

DAINIPPON SCREEN SU-3000

Condition “As is” 

Manufacturer:   DNS

Tool model:   SU-3000 Aquaspin                            Serial number:   63530-3608
Date of manufacture:   June 2004 

Configuration:

12" Wafer cleaning system
4 AQUASPIN Chambers
Spin 1 SRM 
Spin 2 MPC Bevel
Spin 3 MPC
Spin 4 MPC JDP
Factory interface 2 FOUP's
Complete system including all delivery systems


AMAT AKT 1600

Condition: "as is"    

Tool Serial Number : 6C102    

Vintage 2005    

Process:

PECVD iN,SiO2, i-a-Si,SiON and N+ a-Si    

Software Version: AKT7.3    

System Power Rating: 208 VAC 3-Phase    

Flat panel size: 320 x 352 mm    

(5) Process chambers:                                                   
Film Capability
SiN,                                                  
SiO2,                                                   
i-a-Si,                                                   
SiON,                                                   
N+ a-Si (Remote Plasma Clean)                           

Includes: Chillers


 

ASMi A400

Basic configuration:

• ASMi A400 HT Oxide / Poly • Vintage 1998• Dual reactors /Dual boats • Boat Rotation (poly)

• 5 temperature zones• New CE certification

Final configuration TBD machine will be build to end-user specification 

AMAT Oasis clean

Condition “As is” 

Manufacturer:   Applied
Materials 
Type:   300mm Oasis clean Serial number:   414522 Date of manufacture:   2006  Software revision:   OA_B3.0_38

Configuration:

ChA Axiom (Dry strip)ChB Tempest (Wet etch, NH4OH, H2O2, HF) ChD Tempest (Wet etch, NH4OH, H2O2, HF)

Modules:

MainframeFI (Yaskawa robot)Bulkfill Chemical cabinetRotary vacuum pump (for ChA)Main AC boxGenerator rack Connection details:   208V 3fase 50/60Hz 240A 

Axcelis Fusion ES3 Asher

System Axcelis ES3 Asher Vintage Feb-2001300mm optional 200mm
Incl chiller, pumps, gasboxes decomissioned in working conditioning, in production till April 2022

System comes incl following spare parts.

Spare chamber module,All quarts parts used for 1 chamber Main ComputerMicrowave 3 pieces Lampcontrollers etc.Lamps approx. 75 pieces TouchscreenSMC foreline valveThrottle valve 

AMAT Centura High K

Tool Model : Centura 200mmProcess chamber is 100% complete, and was fully operational prior decommissioning. Incl gasbox and remote frame and all interfacing Condition "As Is"

 

BTU PV 614

Vintage 2013
Heater type IR Lamp
Belt Width 36 cmConveyor Speed cm/min 56-560 15, 38Load station 38 cm
Unload station 38 cm
Number of heated zones 6
Maximum Temprature rating 1000°C
Operation Temprature range 200-900°C
Forced Air Cooling Length 229 cm Atmosphere, CDA , Typicle (scfh, m3/hr)
900, 25.2
Process Exhaust, Venturi Assist 2
Electrical 400/230 VAC 112 AMP 81 KVA 50/60 Hz
Overall Length 576 cm
Overall Width 96,5 cm
Overall Height 184 cm 


Applied Baccini Soft Line

Vintage 2009
Upgraded touchscreen/PC/softwareMachine used only in R&D in condition as new

 

LAM 490

LAM 490 AutoEtcher

  Polysilicon: 490
  Wafer size: 6 inch
  Upgraded TFT monitors
  Incl maintenance console
  Conditon: AS IS (No Chiller, No pump but with ENI RF Generator)

Features:

  Single-wafer processing
  Fully automated microprocessor control 
  Cassette-to-cassette wafer processing 
  Vacuum load locked
  Programmable, variable electrode spacing 
  Endpoint detection
  Configurable for 3-inch to 6-inch wafers 
  Stand-alone or bulk-head mount configuration 

AMAT Centura MXP Chamber

200mm
ESC
Complete incl Turbo, RF-Match 


 

FSI POLARIS 3500

This 200/ 300mm track system is built on a proven 200mm platform, the POLARIS 3500 Together with this machine a spare Staubli/FSI RX 90B Robot

This machine has been decommissioned by SoS and is stored at our Nijmegen warehouse. More detail info is available on request. Condition: "As is where is"

SEMIX TAZMO TR6133UD SOG Track

Basic configuration:

       Semix Tazmo TR6133 UD

       150mm

       2 load 2 unload stations 

       1 coater

       2 SOG dispense lines

       3 bakplates

       Machine serial nr : on request

Options:

  Refurbishment

       New CE certification

       Installation and warrantee 


 

PolyFlow Triple Tower II S-417

This PolyFlow system can be offered fully refurbished incl our own PLC system controller (Siemens S7)

KLA Tencor INSPEX EAGLE

Condition: "As is"
Wafer Size:   150mm capable 4” up to 8"
Equipment Details:
Wafer inspection system
C huck, 6”

Prealigner, 6”
Cassette to cassette handling
(2) Ports
ZEISS Microscope
Including manuals




LAM 490

LAM 490 AutoEtcher

  Polysilicon: 490
  Wafer size: 6 inch
  Upgraded TFT monitors
  Wafer detection
  Incl maintenance console
  Conditon: AS IS (No Chiller, No pump but with ENI RF Generator)

Features:

  Single-wafer processing
  Fully automated microprocessor control
  Cassette-to-cassette wafer processing
  Vacuum load locked
  Programmable, variable electrode spacing
  Endpoint detection
  Configurable for 3-inch to 6-inch wafers
  Stand-alone or bulk-head mount configuration 

KLA Tencor INSPEX EAGLE

Condition: "As is"
Wafer Size:   150mm capable 4” up to 8"
Equipment Details:
Wafer inspection system
C huck, 6”

Prealigner, 6”
Cassette to cassette handling
( 2) Ports
ZEISS Microscope
Including manuals


 

LAM 490

LAM 490 AutoEtcher

  Polysilicon: 490
  Wafer size: 6 inch
  Upgraded TFT monitors
  Wafer detection
  Incl maintenance console
  Conditon: AS IS (No Chiller, No pump but with ENI RF Generator)

Features:

  Single-wafer processing
  Fully automated microprocessor control
  Cassette-to-cassette wafer processing
  Vacuum load locked
  Programmable, variable electrode spacing
  Endpoint detection
  Configurable for 3-inch to 6-inch wafers
  Stand-alone or bulk-head mount configuration 

KLA TENCOR Surfscan 4500

SN 1289-395 

 

SSEC / Veeco ML 330

Single wafer cleaning system , 8" Vintage 2005

WaferStorm Wet Processing Platform
The WaferStorm platform is the industry choice for a number of critical solvent-based processes in the advanced packaging, MEMs, RF, Data Storage and Photonics markets. The ML 3300 system is well suited for R&D and pilot environments. 

TEL Tactras RLSA Etch Chamber

TactrasTM RLSATM provides an innovative, new plasma technology that TEL has been optimizing for years. Microwave technology provides high selectivity and excellent etch- profile control with low electron temperature of the radical-rich process by diffusion plasma. In addition, the output microwave stability, high repeatability, and process operation range has been improved with microwave broadband technology which enables precise control of the radical/ion ratio for high profile controllability. TactrasTM RLSATM has a high market share for a critical application in leading-edge logic devices. 

RLSA Chamber information :ESC for wafer with Dual He CoolingNihon Koshuha Microwave Generator / Model is MKS 050B04C-OSC-V

Turbo Pump:SHIMADZU / Model is FT2301D Generator Details:

Top MKS-050B04 PS-V Bottom Daihen RGA-20C

Gas Box Configuration :
1 N2      5 NF3
2 TSA    6 SiH4
3 Ar
4 H2 

 

Akrion Goldfinger Velocity

Single wafer cleaning system , 12" Vintage 2007

Defectivity Improvement

The   Velocity  single wafer product line is focused on improving defectivity. This includes removal of particle and residue contamination from the wafer frontside, backside and edge.Defects are removed without physical damage to sensitive structures and without film etching. This is accomplished using advanced physical clean technologies – Goldfinger Megasonics, Jetstream Nano and Backside Megasonics. Watermark-free performance is available with Sahara dry. The Velocity is available in 4 or 6 chamber versions, each with stacked chambers to minimize footprint. The technology and platform are used for particle removal (post deposition, sensitive structures, backside and post post CMP) and post etch/ash (FEOL and BEOL) applications.

Jordan Valley BedeMetrix-L 

X-ray Metrology system , 4" up to 12"

Manufacturer:   Jordan Valley / Bede

Type:   BedeMetrix-L

Date of manufacture:   Aug 2006

Versatile, multi-configuration X-ray metrology tool
For semiconductor research and process development Complete metrology for advanced processes on a single tool Seamless integration to the BedeMetrix
-F,
The ideal bridge tool between development and pilot production

Multiple application versatility

combine XRD, HRXRD and XRR techniques
optimisedcharacterisationofmultipleapplications
100μm and blanket wafer measurement
open cassette loading from 100mm to 300mm
ideal for process development 


 

Fogale Nanotech DEEPROBE

Manufacturer:   Fogale Nanotech
Type:   300mm DEEPROBE 300-M  

Date of manufacture:  
2014

Configuration:

Technology

Low Conherence IR interferometry for step high measurement

Applications

High A/R TSV depth measurement for 200 and 300mm wafer (Via first & Middle)

Benefits

Non destructieve Technology
Fast and easy to use
CCD camera for spot positioning and pattern recognition
Adjustable Metrology spot size
TSV diameter > 2um A:R up to 30 Calibration and maintenance free 


Rudolph Sonus 7800 

Manufacturer:   Rudolph Technologies
Type:   300mm Sonus 7800

Date of manufacture:  
2015

Configuration:

Rudolph Technologies has introduced the SONUS Technology for measuring thick films and film stacks used in  copper pillar bumps   and for detecting defects, such as voids , in through silicon vias ( TSVs ). Copper pillar bumps are a critical component of many advanced packaging technologies and TSVs provide a means for signals to pass through multiple vertically stacked chips in three dimensional integrated circuits ( 3DIC ). The SONUS Technology is non-contact and non- destructive , and is designed to provide faster , less costly measurements and greater sensitivity to smaller defects than existing alternatives such as X- ray tomography and acoustic microscopy .